病房呼叫系统multisim仿真

来源:普通话 发布时间:2021-04-16 点击:

技能训练报告 学生姓名 学 号 班 级 专 业 电子信息科学与技术 题 目 病房呼叫系统的设计 指导教师 (姓名)
(职称)
2010 年 X 月 一、设计指标 本设计是实现一个具有优先级的病房呼叫系统。通过优先编码器对模拟病房编码,再通过译码器译出模拟的最高级病房号,当有病房呼叫时信号通过译码器和逻辑门触发,值班室的数码管即显示相对最高优先级别的病房号,而且峰鸣器发声,从而实现呼叫作用。当相对优先级高的病房事情处理结束,由护士将该病房呼叫开关打开,再去处理下一个相对最高优先级的病房的事务。全部处理完毕后,即没有病室呼叫,此时值班室的数码管显示“0”。

二、设计方框图 病房 多谐振荡 优先显示模块 复位按钮 呼叫显示模块 呼叫模块 三、元器件介绍 1 、8-3优先编码器74LS148 D0~D7为输入信号,A2、A1、A0为三位二进制编码输出信号,IE为使能输入端,OE为是能输出端,GS为片优先编码输出。

由真值表可知74LS148的输出逻辑方程为:
A2=(I4+I5+I6+I7)IE A1=(I2I4I5+I3I4I5+I6+I7)IE A0=(I1I2I4I6+I3I4I6+I5I6+I7)IE 使能输出端OE的逻辑方程为:
OE=I0•••I1•I2•I3•I4•I5•I6•I7 扩展片优先编码输出端GS的逻辑方程为:
GS=(I0+I1+I2+I3+I4+I5+I6+I7)•OE 图1 74LS148管脚排列图 表1 74LS148 真值表 由真值表,在 =0电路正常工作状态下,允许~当中同时有几个输入端为低电平,即有编码输入信号, 的优先权最高 的优先权最低。当 =0时,无论其他输入端有无输入信号(表中以×表示),输出端只给出的编码。

2、74LS47译码器 74LS47是输出低电平有效的七段字形译码器, 该电路是由与非门、输入缓冲器和7 个与或非门组成的BCD-7 段译码器/驱动器。

通常是低电平有效,高的灌入电流的输出可直接驱动显示器。7 个与非门和一个驱动器成对连接,以产生可用的BCD 数据及其补码至7 个与或非译码门。剩下的与非门和3 个输入缓冲器作为试灯输入(LT)端、灭灯输入/动态灭灯输出(BI/RBO)端及动态灭灯输入(RBI )端。

图2 74LS47 译码器 LT L × × × × × H L L L L L L L (5) 表2 74LS47译码器真值表 注:1、当需要0到15的输出功能时,灭灯输入(BI)必须为开路或保持在高逻辑电平,若不要灭掉十进制零,则动态灭灯输入(RBI)必须开路或处于高逻辑电平。2、当低逻辑电平直接加到灭灯输入(BI)时,不管其它任何输入端的电平如何,所有段的输出端都关死。(H=高电平 L=低电平 ×=不定)
3、当动态灭灯输入(RBI)和 输入端A、B、C、D 都处于低电平而试灯输入(LT)为高时,则所有段的输出端进入关闭且动态灭灯输出(RBO)处于低电平(响应条件)。4、当灭灯输入/动态灭灯输出(BI/RBO)开路或保持在高电平,且将低电平加到试灯输入(LT)时,所有段的输出端都得打开。

* BI/RBO 是用作灭灯输入(BI)与/或动态灭灯输出(RBO)的线与逻辑。

3、74LS08 2输入端四AND (a)
(b) 图3 74LS08管脚排列 表3 74LS08真值表 5、74LS04六位反向器 图4 74LS04管脚排列图和内部框图 6、七段显示数码管 数码显示器有多种,按显示方式可分为分段式、点阵式和重叠式;
按发光材料可分为辉光显示器、荧光显示器、发光二极管显示器和液晶显示器等。目前普遍使用的七段式数字显示器主要有发光二极管和液晶显示器两种。这里主要介绍七段发光二极管组成的数码管原理。

数码管按照其发光二极管的连接方式不同,可分为共阳极和共阴极两种。共阴极是指数码管中所有发光二极管的阴极连在一起接低电平,而阳极分别由a、b、c、d、e、f、g输入信号驱动,当某个输入为高电平时,相应的发光二极管点亮;
共阳极数码管则相反,它的所有发光二极管的阳极连在一起接高电平,而阴极分别由a、b、c、d、e、f、g输入信号驱动,当某个输入为低电平时,相应的发光二极管点亮。共阳极数码管BS201B和共阴极数码管BS201A的逻辑符号及引脚图如图4.3.11所示。图中,dp为小数点,也是一个发光二极管,但一般显示译码器没有驱动输出,使用时需另加驱动。

三、设计原理 1、各功能模块电路的设计(用Multisim仿真)
1、呼叫显示模块 模拟7位病房,将病人依据病情,从1至7依次排放,当病人按下呼叫按钮,对应病房呼叫灯亮起。

病房呼叫显示模块 2、优先显示模块 优先显示模块 利用74LS148优先编码器,对病房信号进行编码,将优先级相对较高的信号优先输出,对其余信号锁存。在通过74LS08与门。

3、值班室数字显示及蜂鸣器报警模块 L1~L7为模拟病房门口的呼叫指示灯,当呼叫开关K1~K7任何开关被按下时,相应开关上的指示灯即闪烁发光,同时护士值班室的数码管即显示相对最高优先级别的病房号,而且峰鸣器SP会令计算机上的扬声器发声。

2、整体电路图(用Multisim仿真)
此例仿真可在Multisim的主界面下,启动仿真开关即可进行电路的仿真。在图中K1~K7为病房呼叫开关,在其下方的Key=1~Key=7分别表示按下键盘上1~7数字键即可控制相应开关的通道。

四、电路安装与调试过程 系统调试方法介绍:本设计中调试过程相对简单,首先将各个模块连接到一起后,注意检查各个部分是否连接正确和连接端是否接好。在测试过程中观察各管脚电平是否符合理论值,以及各模块是否正常运作并达到设计目标。若未能实现,要进行逐一排查,,直到问题解决。

各模块连接好之后就可以对电路进行调试了。首先触发一个病人的信号,看是否产生声音、光报警。并且声音响起,数码管是否显示对应病床编号。直到完成此功能,则进行下一项调试。下一项调试是触发多个病人信号,除第一个调试现象外,是否显示最优先的病人编号,如完成则设计正确。

五、电路测试与使用说明 系统功能:在有多个呼叫信号同时产生时,对已有的最高级别信号进行清零观察系统能否对剩余信号中的最高级别信号进行优先呼叫。或者在原有呼叫信号的基础上在输入一个最好级别的呼叫信号,观察系统能否将此最好信号优先呼叫。

使用过程:
1、 按照病人的病情划分优先级别,有多人同时呼叫时,系统按优先等级显示呼救编号。

2、 当病人按下呼叫信号按钮呼叫灯亮,同时值班室显示病人编号,蜂鸣器发出5秒呼叫声,等待医护人员。

3、 当医护人员处理完最高级别呼救后,按下复位键,系统显示下一最优先级别的病房编号。

六、训练总结 运用Multism仿真对设计电路分析可知此电路基本符合设计要求。

本设计是为在病人紧急需要救治时能尽快实施而设计的呼叫系统。此系优点在于对病人优先选择的设计,避免了多人同时呼救时产生人员不够,只是病情严重病人无法得到治疗的缺陷。通过优先选择,等级划分后,则可避免意外事故,增强医疗处理效率。

当然在设计过程中也存在些许问题,如模拟规模较小,不符合现实情况,难以在现实情况中获得作用。我想如果可以是可以对此进行修缮的。

在设计开始,没有想到优先选择的作用,觉得值班室应该与病房一样,有相同的显示灯,在病房呼叫时,相应灯也亮起。但是这样设计相对简单,同时没有优先处理的意义。所以后来想到优先编码器的作用,并运用到其中使其达到预期目标。

通过本次仿真实验设计,让我看到平时学习中的疏漏,让我重现认识到课堂学习其重要性,端正了学习态度。同时增强了我的逻辑思维,和实践能力。从设计主题的确定,到设计的初步完成,从各个器件的使用介绍到组合完成电路,在巩固基础的同时,也更细致的了解了部分器件功能。我想这也是本次课程设计的目的所在。

推荐访问:
上一篇:当前企业思想政治工作发展存在问题及对策建议思考
下一篇:财政局档案管理工作总结

Copyright @ 2013 - 2018 优秀啊教育网 All Rights Reserved

优秀啊教育网 版权所有