数电综合实验报告记录2交通灯

来源:初中作文 发布时间:2020-10-17 点击:

  数电综合实验报告记录 2 交通灯

  作者: 日期:

  数字逻辑与数字系统设计综合实验 ――十字路口交通灯自动控制器的设计

  学 院电子工程学院 班 级 卓越 001012 班 学 号 00101201 姓 名 冉艳伟 实验时间 201268

  十字路口交通灯自动控制器的设计 一、 实验目的 学习 Quartusll 的使用方法,熟悉可编程逻辑器件的使用。通过 制作来了解交通灯控制系统,交通灯控制系统主要是实现城市十字交 叉路口红绿灯的控制。

 二、 设计任务 设计一个十字路口交通控制系统, 其东西,南北两个方向除了有 红、黄、绿灯指示是否允许通行外,还设有时钟,以倒计时方式显示 每一路允许通行的时间,绿灯,黄灯,红灯的持续时间分别是 40、5 和 45 秒。当东西或南北两路中任一道上出现特殊情况,例如有消防 车,警车要去执行任务,此时交通控制系统应可由交警手动控制立即 进入特殊运行状态,即两条道上的所有车辆皆停止通行,红灯全亮, 时钟停止计时,且其数字在闪烁。当特殊运行状态结束后,管理系统 恢复原来的状态,继续正常运行。

 南北干道

  ________

 O O O

  _____________

  O O

 O O

 东西干道匸 匚

  O O

 O O

 O O O I 图 1 1 路口交通管理示意图

 A A B B C C D D 南北干道交通灯: 绿(0 40 秒)

 黄(5 5 秒)

 红(0 40 秒)

 红(5 5 秒)

 东西干道交通灯 红 红 绿 黄

 表 1 1 交通信号灯的 4 4 种状态 三、实验要求 (1) 按照设计任务设计,采用 VHDI 语言编写程序,并在 QUARTUSII 工具平台中进行仿真,下载到 EDA 实验箱进行验证。交通灯用发光二 级管模拟,观察交通灯的运行是否正常,如果不正常,排除故障直至 正确为止。

 (2) 编写设计报告,要求包括方案选择、程序清单、调试过程、 测试结果及心得体会。

 要求:必须用可编程器件实现电路功能。可以是原理图,也可以 是 VHDL弋码,也可以混合输入。

 五、设计说明 (1) 第一模块:CLK 时钟秒脉冲发生电路 在红绿灯交通信号系统中,大多数情况是通过自动控制的方 式指挥交通的。因此为了避免意外事件的发生,电路必须给一个 稳定的时钟(clock )才能让系统正常运作,故对 1MHz 的时钟信 号进行 1000000分频。

 模块说明:

 系统输入信号:

 CLKi:由外接信号发生器提供 1MHZ 的时钟信号; 系统输出信号:CLK:产生每秒一个脉冲的信号; (2) 第二模块:模 90 倒计数器

 按照实验要求,交通灯循环一次为 90 秒,且显示倒数的计数 值,故设计一模 90 倒计数器通过主程序运算即可显示各路计数值。

 模块说明:

 系统输入:CLK:接收由 CLK 电路的提供的 1hz 的时钟脉冲信号; reset:紧急情况输入 系统输出信号:L1 :倒计数值 秒数十位变化控制信号; L0:倒计数值秒数个位变化控制信号; (3)交通灯主程序 说明:

 系统输入:CLK:接收由 CLK 电路的提供的 1hz 的时钟脉冲信号; reset:紧急情况输入 系统输出信号:Lx:东西方向红绿黄灯控制信号; Ly:南北方向红绿黄灯控制信号; NUMxl :东西方向倒计数值秒数十位变化控制信 号; NUMxO :东西方向倒计数值秒数个位变化控制信 号; NUMxl :南北方向倒计数值秒数十位变化控制信 号; NUMxO :南北方向倒计数值秒数个位变化控制信

 号;

 六、设计方案 GA.RB YA.RB RA3 RA.YB 图 2 交通灯控制系统示意图

 交通挾制系统示意图

  采用 L VHDL 语言输入的方式实现交通信号灯控制器

  LJ LJ LJ n_J

 东西 南北 图 2 2 交通信号灯控制器的原理框图 图 3 3 交通信号灯控制器程序原理框图

  七、程序清单 (1) 第一模块:CLK 时钟秒脉冲发生电路 en tity defreq is port(CLKi:i n std」o gic; CLK:out std_logic ) ; end defreq; architecture behav of defreq is sig nal q:i nteger range 999999 dow nto 0; begi n process(CLKi,q) begi n if(CLKi"event and CLKi ="1") then if(q=999999) then q<=0; else q<=q+1; end if; end if; if (q<500000) then CLK<="0"; else CLK<="1"; end if; end process; end behav; (2) 第二模块:模 90 倒计数器 library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_ un sig ned.all; en tity subco un ter90 is port(CLK:in std_logic; reset:in std_logic; L1,L0:out std_logic_vector(3 dow nto 0) ); end subco un ter90;

  architecture behav of subco un ter90 is signal lt1,ltO:std_logic_vector(3 downto 0); begi n process(CLK,reset,lt1,lt0) begi n if(reset="0") the n if(CLK"event and CLK ="1") then if(lt0="0000") the n if(lt1="0000") the n lt1<="1000"; lt0<="1001"; else lt1<=lt1-1; lt0<="1001"; end if; else lt0<=lt0-1; end if; end if; else lt0<=lt0; lt1<=lt1; end if; end process; L1<=lt1; L0<=lt0; end behav; (3) 交通灯主程序 library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_ un sig ned.all; en tity trafficlight is port(CLK:in std_logic; reset:in std_logic; Lx,Ly:out std_logic_vector(2 dow nto 0); NUMx1,NUMx0,NUMy1,NUMy0:out std_logic_vector(3 dow nto 0) );

  end trafficlight; architecture structure of trafficlight is sig nal lt1,ltO,NUMxt1,NUMxtO,NUMyt1,NUMytO:std_logic_vector(3 0) ; sig nal CLKt:std_logic; comp onent defreq port(CLKi:i n std_logic; CLK:out std 」 ogic ); end comp onent; comp onent subco un ter90 port(CLK:i n std_logic; reset:i n std_logic L1,L0:out std_logic_vector(3 dow nto 0) ); end comp onent; begi n U1:defreq port map(CLK,CLKt); U2:subcounter90 port map(CLKt,reset,lt1,lt0); process(lt1,lt0,reset,NUMxt1,NUMxt0,NUMyt1,NUMyt0) begi n if (reset 二 "0") then if(lt1>"0100") the n Lx<="100"; NUMxt1<=lt1-5; NUMxt0<=lt0; elsif(lt 仁 "0100" and lt0>"0100") then Lx<="010"; NUMxt1<=lt1-4; NUMxt0<=lt0-5; else Lx<="001";

 dow nto

  NUMxt1<=lt1; NUMxtO<=ltO; end if; if((lt1>"0100") or (It 仁 "0100" and lt0>"0100")) then Lyv 二 "001"; if(lt0>"0100") the n NUMyt1<=lt1-4; NUMyt0<=lt0-5; else NUMyt1<=lt1-5; NUMyt0<=lt0+5; end if; elsif((lt1>"0000") or (lt 仁 "0000" and lt0>"0100")) then Ly<="100"; if(lt0>"0100") the n NUMyt1<=lt1; NUMyt0<=lt0-5; else NUMyt1<=lt1-1; NUMyt0<=lt0+5; end if; else Ly<="010"; NUMyt1<=lt1; NUMyt0<=lt0; end if; NUMx1<=NUMxt1; NUMx0<=NUMxt0; NUMy1<=NUMyt1; NUMy0<=NUMyt0; else Lx<="001"; Ly<="001"; if(CLKt="0") the n

 NUMxlv 二 NUMxtl; NUMxOv 二 NUMxtO; NUMy1<=NUMyt1; NUMyOv 二 NUMytO; else NUMx1<="0000"; NUMx0<="0000"; NUMy1<="OOOO"; NUMyO<="OOOO"; end if; end if; end process; end structure; 八、仿真结果 1.第一模块:CLK 时钟秒脉冲发生电路 (1)功能仿真:

 (2)时序仿真

 (2)第二模块:模 90 倒计数器 (1)功能仿真:

 (2)时序仿真 (3)交通灯总程序仿真 (1)功能仿真:

 UW E OL.:] E mm 】

 HiWlll WH II]

 PTfe :

 :

 ・

 HAIM W Htw 證】

 d rjU* aS L Iffll l [|] -HfkiH] HA^_ Lnwi[*] 叮 L WEM 』 -IWrlLU =r TTT 一LTJ ■ L ir.".ror.iftii.r.Mvuo.".r.uni"iu rmwnii:iniMi -・„, ...............

 ...................

 "l" ! "" H-41 !■]■!—II H- -H- I ----------- 1- a . —.1 a. L — a a . - . . ..i .1 xnrr

 (2)时序仿真 ■| p

 Hrm "I .." c "n j -» 巧 nr w 3C0DC J 脚 r~i ni in m aig 乍 is 晅阴佃

 CLE "«ri

 uvl

 回 Ur

  ■L J

 Izl

  ■Lxtll

  Ls 】

 讣 a 【 *

  ■L F |2] Itfe

 ■LrlH 0

 LrH] ◎

 10 回 BIT 3 i]

 -YTW * HZ

 ■iprfco u ::

 和 J 0 14

 Ltinwj •—- □ VlMtzi

  ■WTlxj 评 al

 mirij 5 日

 ■HTfc] a 19

 -?mbd

 (3 tfllfeT

 译啦

 - HHIJLa 益

 -nijo ❻:參

 Limjci

 回 nikj

  ■unwf] ■a zi

 ■NTij]

  ■NS*?J

  r~r _rn____ri _TLTLJTrLrLn_rLrLrLirL_rLr 一 -LrL_rLn_rLrL_rLrL_rLTL_n n nrn njinn n.mnninnnr nruunRiuui i.i 几 i m n m 两匚 nMnyuvuinnuiiAnj -"

 y [门「皿 jumiOri ⑶ ~x hi JT

 [叮 ~旦 J T"? 1

 LA i imwnmmmc in inirni"TonirT - 旷 1 门 unviirr 「-吓可一期-门 1 「「-叩 T

 门 口 口 口「口 迴汹 MM 删韓删删谪融删話細卿删锵曲!「:

 -7

 """tiT" in j.n _ r n __ II

 .umu.ii 如畑 DEM ■助同 ftK 砂环珈巩耐皿刖 EDOM (二 MnE)KTOB<E3(E»Ka«( 删峨血鮭砂博删曲:门 J

 i ii ~rm_i i — n J JI I II _r~n — i~ n i n j 」— Ticjffl r onrini:rTiii\imi>inrjriii:imr>m7irTir.i_ i 九、引脚设置 接下来就要选择目标器件并对相应的引脚进行锁定了, 引脚锁定方法如下图 所示。

  Mode N STC

 Drcclion Low bon g Bank vYef Graup I/O Siardcird

 1

 CLK IiD-it Pm 经 4 裁 N1 3. 3 l 厂 1 仕 Bui:;

 工 a 吨” Output Plh_.134 2 B2^N1 3.3 \ _71~L [坛 mul::

  tXilput PIN„U5 2 B2^N1 3. 一厂 L (dE*auh;

 科 a L X M output PIN_23S 2 B2 M1 3. J-M L/rrl i^efeulc}

 5

 M Output P—

  3. 珅 _E CJ E N J I":

 G

 Ly[i:

 Outut

 2 B2LN1 3.3-\ E (duJuir

 7

 Lfd Output

 2 B2 N1 13 A .fTl

 Gkbult:

 8 a

 Ou 蚀 t pm 」] 4

 3.3 I "LL ;dc*auh.

 回

 P1H_3 1 S1_N1 LVTTI

 tdE"aui:;

 10

 NLiMtsafl]

 PIN 2fl L 31 N1 玄詁 Lfl-n 怙 ui::

  Ouljxit pn 23 L 31 M1 3. 詁 L/T-L 也亡 3]|::

 12

  Output PiH 24 1 31 N1 3«3 A ! /rn.

 比 a MJMKint)

 PBHJ9 1 E J1_N3 3,3 -M LE 【北诂 ul:;

  妙

 Cki^t PLH_t 1 a. i-\-

 _vm i 血 pui:;

 ]f

 IMJM K IM UU^Llt 艮刖 1 31 N0 i.i-"o

 Lkn (da<aul:;

 迫

 a KIM/0[3] Output PIM_1O3 5 B!hD 3.3-\- i.zr-L Clefdult:

 17 神

 Outfxit PtC:CH 3 D3 N0 -E iJr-aul^

  p

 Ouhjut PIN 112 5 j B2 N0 13 \ ./TH. (defeul:1

 缶 & HJMAOJ OulpLit PlN_n3 2 B2_N0 3. 3 -^ L/TTL tderauh;

 20 2

 Output :

 :

 2 H2_N0 3. 小 V -VT" L Cde-auh;

 21 a

 Durput

 2

 22

  cm met pn u8 2 B2 MJ 3. 制 LE tle^uIC

 hUMflfOl Output PJN:15 z D2 hD 13\ ./TL 址汕忙

 禹 • reset Ireut pn_22 1 0I_N1 13 -El (de^ul::

  十、实验总结(故障排除与收获体会

 综合设计实验对学生而言是其对所学课程内容掌握情况的一次自我验证, 从 而有着极其重要的意义。通过设计能提高学生对所学知识的综合应用能力,能全 面检查并掌握所学内容,我们进行了数字电路设计,老师命题,进行设计。趣味 性强,同时也可以学到很多东西。我们做的是交通灯控制器的设计。

 在这学期的课程设计中,在收获知识的同时,还收获了阅历,收获了成熟, 在此过程中,我们通过查找大量资料,请教老师,以及不懈的努力,不仅培养了 独立思考、动手操作的能力,在各种其它能力上也都有了提高。更重要的是,我 们学会了很多学习的方法。而这是日后最实用的,真的是受益匪浅。

 要面对社会 的挑战,只有不断的学习、实践,再学习、再实践。

 通过这个程序设计,我进一步加深了对电子设计自动化的了解, 并进一步熟 练了对 l Quartusll 软件的操作。在编写程序的过程中,遇到了很多问题,有些函 数不太熟悉,使我发现自己以前学习上存在的不足。通过与同学探讨和请教老师, 终于把问题都解决了,并加深了对交通灯原理和设计思路的了解。同时也掌握了 做课程设计的一般流程,为以后的设计积累了一定的经验。做课程设计时,先查 阅相关知识,把原理吃透,确定一个大的设计方向,在按照这个方向分模块的把 要实现的功能用流程图的形式展示。最后参照每个模块把输入和输出引脚设定, 运用我们所学的 L VHDL 语言进行编程。

  这次课程设计虽然结束了,也留下了很多遗憾,因为由于时间的紧缺和许多 课业的繁忙,并没有做到最好,但是,最起码我们没有放弃,它是我们的骄傲!

 相信以后我们会以更加积极地态度对待我们的学习、 对待我们的生活。我们的激 情永远不会结束,相反,我们会更加努力,努力的去弥补自己的缺点,发展自己 的优点,去充实自己,只有在了解了自己的长短之后,我们会更加珍惜拥有的, 更加努力的去完善它,增进它。只有不断的测试自己,挑战自己,才能拥有更多 的成功和快乐!快乐至上,享受过程,而不是结果!认真对待每一个实验,珍惜 每一分一秒,学到最多的知识和方法, 锻炼自己的能力,这个是我们在实时测量 技术试验上学到的最重要的东西,也是以后都将受益匪浅的!

推荐访问:交通灯 实验 记录
上一篇:沪教版2019-2020学年六年级上册专项复习二:画路线图
下一篇:安徽农村电商提质增效工作方案

Copyright @ 2013 - 2018 优秀啊教育网 All Rights Reserved

优秀啊教育网 版权所有